Page 1 of 1

Delay clock pulse

Posted: Fri Aug 01, 2014 1:35 am
by helmut
Hello,

i'm new to this software. I would like to ask if there is a possibility to delay a clock pulse by 1/4? I would use this for creating a enable and set line for a cpu?

Re: Delay clock pulse

Posted: Fri Aug 01, 2014 9:57 am
by admin
Hi Helmut,
There is no any feature that allows you to delay tick for any specific time. However you can reorder clock signal on different consumers by adding chain of even number of not gates.
Eugene

Re: Delay clock pulse

Posted: Wed Aug 06, 2014 4:17 am
by helmut
Hello! Thank you for your quick answer.

How could i calculate how many not gate i need for 1/4 of the signal? Is there a way to measure it?

Re: Delay clock pulse

Posted: Wed Aug 06, 2014 11:47 am
by admin
There is no way to delay to 1/4th of the tick. The execution is happening almost momentarily in the scale of the tick. You can just change the order in which the gates get evaluated. I’d suggest to try just 2 nots and then see if it works.

Re: Delay clock pulse

Posted: Tue May 24, 2016 2:06 pm
by superryan94
Actualy, you can delay a clock signal by staking jk's. That way each clock tick the puls will Move. If you connect the last jk with the First in opposit input as the rest you will delay the clock depending on the amount of jk's. I have made a 1\32 by doing this. But for your 1\4 4jk's should be enough.